eda技术实用教程veriloghdl答案.doc

上网导航 2023-07-24 288 0条评论
摘要: eda技术实用教程 -...

eda技术实用教程 -veriloghdl 答案 eda技术与 vhdl 程序开发基础教程课后答案】 eda 的英文全称是 electronic design automation 2.eda 系统设计自动化 eda 阶段三个发展阶段 eda技术的应用可概括为 4.目前比较流行的主流厂家的 eda 软件有、 5.常用的设计输入方式 有原理图输入、文本输入、状态机输入 6.常用的硬件描述语言有 7.逻辑综合后生成的网表文件为 edif 8.布局布线主要完成 10.常用的第三方eda 工具软件有 synplify/synplify pro leonardospectrum 1.8.2 选择 1.eda 技术发展历程的正确描述为( cae-cad-eda2.altera 的第四代 eda 集成开发环境为( mux+plusii quartusii ise3.下列 eda 工具中,支持状态图输入方式的是( quartusii syplifypro 4.下列几种仿真中考虑了物理模型参数的仿真是( 逻辑仿真5.下列描述 eda 工程设计流程正确的是( 输入-仿真-综合-布线-下载6.下列编程语言中不属于硬件描述语言的是( php1.8.3 问答 1.结合本章学习的知识,简述什么是 eda 技术?谈谈自己对 eda 术的认识?答:eda (electronic design automation )工程是现代电子信息工 程领域中一门发展迅速的新技术。

2.简要介绍 eda 技术的发展历程? 答:现代 eda 技术是 20 世纪 90 年代初从计算机辅助设计、辅助制 造和辅助测试等工程概念发展而来的。它的成熟主要经历了计算机 辅助设计( cad ,computer aided design )、计算机辅助工程设计 (caed ,computer aided engineering design )和电子设计自动 化(eda ,electronic system design automation )三个阶段。 3.什么是 soc ?什么是 sopc chip,片上系统) sopc (system programmablechip ,片上可编程系统 4.对目标器件为cpld/fpga vhdl设计,主要有几个步骤?每步的 作用和结果分别是什么? 答:一个完整的 eda 工程通常要涉及到系统建模、逻辑综合、故障 测试、功能仿真、时序分析、形式验证等内容。而对于设计工程师 而言,系统建模中的器件模型有生产厂商给出,工程师只需要完成 系统设计、逻辑综合、布局布线、仿真验证和下载测试几个步骤。 5.简述 asic 设计和 cpld/fpga 设计的区别? 答:专用集成电路 (asic) 采用硬接线的固定模式,而现场可编程门阵 (fpga)则采用可配置芯片的方法,二者差别迥异。

可编程器件是 前的新生力量,混合技术也将在未来发挥作用。6.阐述行为仿真、功能仿真和时序仿真的区别? 答:行为仿真只考虑逻辑功能。功能仿真仅仅完成了对 vhdl 所描述 电路的逻辑功能进行测试模拟,以观察其实现的功能是否满足设计 需求,因而仿真过程并不涉及任何具体器件的硬件特性。时序仿真 则是比较接近真实器件运行的仿真,在仿真过程中已经对器件的物 理模型参数做了恰当的考虑,所以仿真精度要高得多。 7.详细描述 eda 设计的整个流程? 系统规格制定(define specification 设计描述(design description 功能验证(function verification 逻辑电路合成(logic synthesis 逻辑门层次的电路功能验证(gate-level netlist verification 配置与绕线(place 绕线后的电路功能验证(post layout verification 8.为什么要进行硬件电路的后仿真验证和测试?答:后仿真考虑了实际器件的模型参数,能够更好的模拟实际电路 工作状态。测试是检验设计合格的最直接的方式。 2.8.1 填空 1.可编程逻辑器件的英文全称是 2.可编程逻辑器件技术经历了三个 发展阶段 cpld个部分 4.目前市场份额较大的生产可编程逻辑器件的公司有 altera xillinx、lattice 5.根据器件应用技术 fpga6. 快速通道 /互联通道包括行互连、列互联、 逻辑阵列块 、逻辑单元 7.常用的的 fpga 配置方式为 8.实际项目中,实现 fpga 的配置常常 需要附加一片 9.球状封装的英文缩写为 bga 10.cpld/fpga2.8.2 选择 pal3.下列逻辑部件中不属于 altera 公司 cpld 通用逻辑块(glb 可编程连线阵列(pia 逻辑阵列块(lab 4.下列逻辑部件中不属于lattice 公司 cpld 通用逻辑块(glb 全局布线区(grp 逻辑阵列块(lab 5.下列fpga 中不属于 xilinx 公司产品的是( 下列fpga 中不属于 alter 公司产品的是( flex10k cyclone7.下列配置方式不属于 fpga 配置模式的是( 被动从属配置模式8.下列因素中通常不属于 cpld/fpga 选型条件的是( 产地2.8.3 问答 1.结合本章学习的知识,简述 cpld 的基本结构? 答:虽然 cpld 种类繁多、特点各异,共同之处总结起来可以概括为 三个部分: 可编程逻辑阵列块; 输入/输出块; 互联资源; 其中,可编程逻辑阵列块类似于一个低密度的 pal/gal ,包括乘积项 的与阵列、乘积项分配和逻辑宏单元等。

乘积项与阵列定义了每个 宏单元乘积项的数量和每个逻辑块乘积项的最大容量,能有效的实 现各种逻辑功能。 2.结合本章学习的知识,简述 fpga 的基本结构? 答:基于 sram 编程的 fpga xilinx的逻辑单元阵列( lca ,logic cell array )为例,基本结构如图 2-20 所示。 模块 2-20fpga 的基本机构 反熔丝技术 fpga 器件的逻辑结构采用基于多路选择器的基本逻辑 单元,配置数据放在反 熔丝开关矩阵中,通过编程使部分反熔丝介质击穿,导通开关从而 实现器件的编程。如图 2-21 所示 互联 资源逻辑阵列 2-21反熔丝技术的 fpga 结构 3.基于 sram 编程的 fpga 有哪些特征?优缺点? fpga器件的优点: 可以反复编程,对于一般规模的器件,上电几十毫秒就可以完成 配置数据的加载; 开发设计不需要专门的编程器; cmos工艺的存储器兼容,价格较低; fpga 器件的缺点: 由于器件掉电后 sram 容易丢失配置数据,因而常常在 fpga 外部 添加一个制度春初期 prom eprom来保存这些配置数据,从而给 配置数据的保密带来了困难; 器件内部可编程连线和逻辑定义通 大量的传输门开关实现,从而导致电阻变大,传递信号的速度收到影响, 限制工作频率; 4.简述 max7000 器件的结构及特点? eda技术课程大纲】 开课对象:电子信息工程 /电子信息科学与技术 /电子科学与技术 成电路设计专业本科学生课程类别:学院定必修一、课程的任务和 目的 本课程是电类专业的专业基础课,要求学生通过本课程的学习和实 验,初步掌握常用 eda 工具的使用方法、 fpga 的开发技术以及 vhdl 语言的编程方法。

能比较熟练地使用 quartusii 等常用 eda 软件对 fpga cpld作一些简单电路系统的设计,同时能较好地使用 vhdl 语言设计简单的逻辑电路和逻辑系统,学会行为仿真、时序仿真和 硬件测试技术,为现代 eda 工程技术的进一步学习, asic 器件设计 以及超大规模集成电路设计奠定基础。 作为一门专业基础课,除了为现代电子线路课程,软件无线电课程 奠定理论和实践方面的基础外,还是其他一些课程的先修课,如微 电子导论、现代 asic 设计、硬件描述语言仿真 /综合器设计、大规模 集成电路设计等。 二、课程内容与基本要求 (一)概论 介绍现代 eda 技术, vhdl 概况,介绍自顶向下的系统设计方法以及 fpga cpld的基本技术,要求对现代 eda 技术及实现工具的使用 方法和发展情况有一初步了解。 eda设计流程及工具 首先介绍基于 eda 软件的 fpga/cpld 开发流程和 asic 设计流程,然 后分别介绍与这些设计流程中各环节密切相关的 eda 工具软件,最 后简述 quartusii 的基本情况和 ip fpga/cpld结构与应用 主要介绍几类常用的大规模可编程逻辑器件的结构和工作原理。

对 cpld 的乘积项原理和 fpga 的查找表原理分别进行剖析。最后介绍相 关的编程下载和测试技术。 vhdl设计初步 通过数个简单、完整而典型的 vhdl 设计示例,使学生初步了解用 vhdl 表达和设计电路的方法,并对由此而引出的 vhdl 语言现象和语 句规则能逐步趋向系统的了解。 quartusii应用向导 通过实例,详细介绍基于 quartusii vhdl文本输入设计流程,包 括设计输入、综合、适配、仿真测试和编程下载等方法,以及 quartusii 包含的一些有用的测试手段,最后介绍原理图输入设计方 (六)vhdl设计进阶 介绍一些新的实例及相关的 vhdl 语法知识,使学生进一步了解 vhdl 语言现象和语句规则的特点,以及应用 vhdl 表达与设计电路的 方法。 (七)宏功能模块与 ip 应用 altera 提供了可参数化的宏功能模块和 lpm 函数,并基于 altera 件的结构做了优化设计,使得设计的效率和可靠性得到了很大的提高。可以根据实际电路的设计需要,选择 lpm 库中的适当模块,并 为其设定适当的参数,满足设计的需要。本章通过一些示例介绍 lpm 宏功能模块与 ip 核的使用方法。

(八)状态机设计 介绍使用 vhdl 设计有限状态机一般性程序结构;介绍状态机的实用 程序设计、状态编码方法以及非法状态排除技术。 vhdl程序结构与规则 介绍 vhdl 的基本程序结构,包括实体、结构体、进程、库和子程序 等主要语句结构,要求掌握 vhdl 可综合程序设计的基本程序结构。 重点了解进程语句结构及其运行特点。 vhdl语句与 vhdl 简要介绍vhdl 编程的 种描述风格;介绍vhdl 仿真方法、目的和 延时模型、简介 vhdl 程序综合概念与可综合的要求,介绍时序电路 和组合电路的设计要点和方法。 (十一)设计优化和时序分析 分析资源优化、速度优化的常用方法,介绍 quartusii 中优化设置 与优化设计方法、以及时序分析方法。 (十二)实验 由于本课程是一实践性很强,且软硬件设计密切结合的课程,所以 安排了较多的实验内容, 实验总学时为 21,除实验 为基础实验,其余均为综合、设计性实验。 实验 1:基于 vhdl 的简单组合与时序 电路设计 学时实验 2:quartusii 原理图设计 学时实验 3:7 学时实验 4:数控分频器设计 学时实验 5:正弦波形信号发生器设计 学时实验 6:8 位十六机制频率计设计 学时实验 7:序列检测 器设计) 学时实验 8:数据采集电路和简易存储示波器设计 实验9:比较器和 学时实验 10:乐 曲硬件演奏电路设计 学时三、与各课程的联系 先修课程: 语言,脉冲与数字电路,电路分析,信号系统,高等数学,微机原理。

四、对学生能力培养的要求 通过对本课程的学习,学生能较好地掌握全新的硬件电子系统的设 计技术,更深刻地了解计算机软件语言与硬件语言实现的现代电子 系统设计能力。通过理论学习与实践设计锻炼的紧密结合,提高理 论与工程实际相结合的能力,为未来进一步的学习和工作实践奠定 良好基础。 五、学时分配 本课程总学时数为 51,其中授课 30 学时,实验 21 学时,具体分配 如下: (一)概论 eda设计流程及工具 fpga/cpld结构与应用 vhdl设计初步 quartusii应用向导 vhdl设计进阶 功能模块与ip 应用 学时(八)状态机设计 vhdl语句与 vhdl 仿真 学时(十一) 设计优化和时序分析 学时(十二)实验 实验 1:基于 vhdl 的简单组合与时序电路设计 学时实验 quartusii原理图设计 学时实验 3:7 段数码管显示译码设计 学时实验 4:数控分频器设计 学时实验 5:正弦波形信号发生器 设计 学时实验 6:8 位十六机制频率计设计 学时实验 7:序列 检测器设计) 学时实验 8:数据采集电路和简易存储示波器设计 学时实验 9:比较器和 学时实验 10: 乐曲硬件演奏电路设计 学时六、教材与参考书 教材: eda 技术实用教程(第三版), 潘松、黄继业编著,科学出版社, 2006 参考书:cpld/fpga 的开发与应用,徐志军、徐光辉编著,电子工业出版社, 2002 电子设计自动化(eda )教程,王锁萍,电子科技大学出版 社,2000 数字系统设计与pld 应用技术,蒋璇、臧春华编著,电子工业出版 社,2001 sopc技术实用教程,潘松、黄继业、曾毓编著,清华 学出版社,2005 数字系统设计与verilog hdl 编著,电子工业出版社,2002 alteradigital library ,altera corporation ,altera ,2002 databook 2001 ,xilinx inc. xilinx,2001 黄河科技学院2010 2011学年第 eda技术习题】 前最流行的并成为 ieee 标准的硬件描述语言包括 vhdl 语言 veriloghdl 语言 eda中文全称是 电子设计自动化 。

ip eda技术和开发中具 有十分重要的地位, ip 指的是知识产权核或知识产 权模块 将硬件描述语言转化为硬件电路的重要工具软件称为hdl 综合器 一般情况下,fpga 是基于 与或阵列的可编程逻辑结构, cpld 基于查找表 的可编程逻辑结构。 p28 eda仿真过程中主要涉及时序 仿真和 功能 仿真。 eda的优化设计主要是进行 资源 优化和速度优化,其中速度优化 主要有 流水线设计 关键路径法等三种优化方法。 p326 vhdl子程序有 procedure function两类。 p230 eda的中文全称为 _电子设计自动化 _硬件描述语言___。 基于eda 软件的 fpga cpld设计流程为:原理图 /hdl 文本输入 综合适配 编程下载硬件测试。 10. fpga 中文全称是 _现场可编程门阵列 _,cpld 中文全称是 _复杂 可编程逻辑器件 _。其中 ___cpld__ 是基于乘积项的可编程 逻辑结构, _fpga__ 是基于查找表的可编程逻辑结构。 p28 11. vhdl 语言按照执行顺序的不同可以分为 _顺序语句 并行语句_语句。 12. 在仿真延时中, after20ns 20ns指的是__固有 after20 ns __传输__延时。

p15113. 资源优化主要有 __资源共享 _、_逻辑优化 种优化方式。p326 与传统电子设计方法相比,eda 采什么设计方法?比较这两种 设计方法的区别? 答:eda 采用自顶向下的设计方法 手工设计方法缺点: 1)设计、调试十分困难。 2)查找和修改十分不便。 3)大量文档,不易 管理。4)可移植性差。 5)只有在设计出样机或生产出芯片后才能进行实测。 eda 技术有很大不同: 1)采用硬件描述语言作为设计输入。 2)库(library) 的引入。 3)设计文 档的管理。 4)强大的系统建模、电路仿真功能。 5)具有自主知识产权。 6)标准 化、规范化及 ip 核的可利用性。 7)自顶向下设计方案。 8)自动设计、仿真和测试技术。 9)对设计者的硬件知识、经验要求 低。10)高速性能好(与以 cpu 为主的电路系统相比) 简述eda 设计流程。 答:设计输入 综合适配 仿真 下载硬件测试 根据编程方式的不同,pld 器件可以分为哪几类? 熔丝型器件;反熔丝型器件; eprom 型;eeprom 型;sram 什么是重载?重载函数有何用处?答:同样名称的函数可以用不同的数据类型作为此函数的参数定义 多次,以此定义的函数称为重载函数; vhdl语言按照执行顺序的不同可以分为哪两类基本语句?并且 各举一例说明。

答:顺序语句 答:自顶向下就是在整个设计流程中各个设计流程逐步求精的过程,即是从高抽象级别到低抽象级别的整个设计周期。 优点:在整个设 计过程中不必太注意目标器件的设计细节。 fpga设计过程中,综合的含义是什么?主要有哪几种类型的 综合? 答:综合:将用行为和功能层次表达的电子系统转换成为低层次的 便于具体实现的模块组合装配的过程。 有语言综合、行为综合、逻辑综合、结构综合 eda技术最终实现目标的 asic 可以通过哪三种途径完成? 答:门阵法 标准单元法可编辑逻辑器件控制法 vhdl设计中,给触发器复位有哪两种方法?如果时钟进程中用 了敏感信号表,哪种复位方式必须要把复位信号放在 敏感信号表中?( 答:同步复位异步复位。 异步复位 6.利用 fpga 构成数字系统时为什么需要配备一个 prom vhdl程序填空:( 10 下面程序是一个具有加法和减法功能的16 位计数器 vhdl 描述,试 补充完整。 ieee;(library 2.std_logic_1164.all;(ieee) use ieee. 3.all;(std_logic_unsigned) (entity)port(clk std_logic;enable std_logic;add_sub outstd_logic_vector(15 downto endcnt_add_sub; architecture cnt_add_sub 6q_tmp:std_logic_vector(15 downto 0);(signal) begin 7(clk,rst)(process) begin 8rst=1thenq_tmp=(others=0);( 9andclk=1 (clk’even)t enable=1thenif add_sub=1 thenq_tmp=q_tmp+1; q_tmp=q_tmp-1; else 10;(end endprocess; q=q_tmp; end cnt_add_sub; 下面程序是一位全加器的 vhdl 描述,试补充完整。

--半加器描述 1ieee;(library) use ieee.std_logic_1164.all; entity std_logic;co, so outstd_logic); end entity h_adder; architecture 4ofh_adder (fh1)begin so endarchitecture fh1; --一位全加器顶层设计描述 entityf_adder port(ain ,bin ,cin 5std_logic;(in)cout ,sum: out std_logic

文章版权及转载声明:

作者:上网导航本文地址:https://www.90xe.com/post/686.html发布于 2023-07-24
文章转载或复制请以超链接形式并注明出处技术导航

分享到:

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

微信扫一扫打赏